I'm compiling some very large projects in chisel3 with lots of wires and connections. Right now, the top level object and all of its submodules are in the same Scala package, and I run sbt "runMain top.Instantiator --verilog". However, it crashes in FIRRTL with:
[info] Done packaging.
[info] Running top.Instantiator --verilog --testArgs vcs
[info] [0.005] Elaborating design...
[info] [68.146] Done elaborating.
[error] (run-main-0) java.lang.NegativeArraySizeException
[error] java.lang.NegativeArraySizeException
[error] at java.util.Arrays.copyOf(Arrays.java:3332)
[error] at org.antlr.v4.runtime.ANTLRInputStream.load(ANTLRInputStream.java:101)
[error] at org.antlr.v4.runtime.ANTLRInputStream.<init>(ANTLRInputStream.java:64)
[error] at org.antlr.v4.runtime.ANTLRInputStream.<init>(ANTLRInputStream.java:60)
[error] at org.antlr.v4.runtime.ANTLRInputStream.<init>(ANTLRInputStream.java:68)
[error] at firrtl.Parser$$anonfun$1.apply(Parser.scala:35)
[error] at firrtl.Parser$$anonfun$1.apply(Parser.scala:29)
[error] at firrtl.Utils$.time(Utils.scala:135)
[error] at firrtl.Parser$.parse(Parser.scala:29)
[error] at firrtl.Driver$$anonfun$execute$1.apply(Driver.scala:171)
[error] at firrtl.Driver$$anonfun$execute$1.apply(Driver.scala:140)
[error] at logger.Logger$$anonfun$makeScope$1.apply(Logger.scala:129)
[error] at scala.util.DynamicVariable.withValue(DynamicVariable.scala:58)
[error] at logger.Logger$.makeScope(Logger.scala:127)
[error] at firrtl.Driver$.execute(Driver.scala:140)
[error] at chisel3.Driver$.execute(Driver.scala:180)
[error] at chisel3.Driver$.execute(Driver.scala:200)
[error] at fringe.CommonMain$class.main(CommonMain.scala:64)
[error] at top.Instantiator$.main(Instantiator.scala:17)
[error] at top.Instantiator.main(Instantiator.scala)
[error] at sun.reflect.NativeMethodAccessorImpl.invoke0(Native Method)
[error] at sun.reflect.NativeMethodAccessorImpl.invoke(NativeMethodAccessorImpl.java:62)
[error] at sun.reflect.DelegatingMethodAccessorImpl.invoke(DelegatingMethodAccessorImpl.java:43)
[error] at java.lang.reflect.Method.invoke(Method.java:498)
[error] Nonzero exit code: 1
[error] (Compile / runMain) Nonzero exit code: 1
My guess is that the huge number of wires and connections in the project are causing some overflow. Does anyone have experience with this kind of error and advice on how to go about debugging it? Right now, my ideas are to
1) refactor the chisel code to make it easier to compile
2) figure out a way to generate verilog for each module separately and then link them together at the end. Is there a way to compile non-recursively so I don't run into the same error when I get to compiling top.Instantiator?
3) separate the packages/projects somehow so that sbt works on each one separately
Thanks
Adding idea 4) Use protobuf serialization between chisel and firrtl.
I can see that firrtl is blowing up in the parser. The protobuf support is fairly new, but I believe it is much less memory intensive than the antlr based parser.
Here's an example of producing a firrtl protobuf serialization from chisel3
import chisel3._
class XX extends Module {
val io = IO(new Bundle {
val i = Input(UInt(1.W))
val o = Output(UInt(1.W))
})
io.o := io.i
}
object PB {
def main(args: Array[String]): Unit = {
val c = Driver.elaborate(() => new XX)
Driver.dumpProto(c, None)
}
}
You can then generate the verilog with the firrtl compiler
firrtl -i XX.pb -X verilog -o XX.v
Or build your own compiler something like
import firrtl._
object PB {
def main(args: Array[String]): Unit = {
Driver.execute(Array("-i", "XX.pb", "-X", "verilog", "-o", "XX.v"))
}
}
Your other options may be worth pursuing but this might be an easier quick fix.
Related
I am following all the instructions listed here to release my project to sonatype repo: https://dzone.com/articles/publish-your-artifacts-to-maven-central
But still getting the following error during signing artifacts
[INFO] --- maven-gpg-plugin:3.0.1:sign (sign-artifacts) # exceptionless-client ---
gpg: skipped "0x9d0e3e1d": No secret key
gpg: signing failed: No secret key
[INFO] ------------------------------------------------------------------------
[INFO] BUILD FAILURE
[INFO] ------------------------------------------------------------------------
[INFO] Total time: 42.750 s
[INFO] Finished at: 2021-08-03T10:52:18+05:30
[INFO] ------------------------------------------------------------------------
[ERROR] Failed to execute goal org.apache.maven.plugins:maven-gpg-plugin:3.0.1:sign (sign-artifacts) on project exceptionless-client: Exit code: 2 -> [Help 1]
[ERROR]
[ERROR] To see the full stack trace of the errors, re-run Maven with the -e switch.
[ERROR] Re-run Maven using the -X switch to enable full debug logging.
[ERROR]
[ERROR] For more information about the errors and possible solutions, please rezad the following articles:
[ERROR] [Help 1] http://cwiki.apache.org/confluence/display/MAVEN/MojoExecutionException
I can see the key listed when I run gpg --list-key command.
I used git bash to generate new keys, but I didn't know that git bash also comes with a bundled gpg program and it was using that. However, the maven-gpg-plugin was trying to find keys using the gpg program installed under C:/Program Files(x86). After I created keys using this I installed it worked perfectly.
I have been observing the build fail issue for this
[ERROR] The build could not read 1 project -> [Help 1]
[ERROR]
[ERROR] The project org.springframework.cloud:spring-cloud-netflix-hystrix-stream:2.0.1.BUILD-SNAPSHOT (E:\springcloud\spring-cloud-netflix-master\spring-cloud-netflix-master\spring-cloud-netflix-hystrix-stream\pom.xml) has 1 error
[ERROR] Unresolveable build extension: Plugin org.springframework.cloud:spring-cloud-contract-maven-plugin:1.2.4.RELEASE or one of its dependencies could not be resolved: Failure to find org.springframework.cloud:spring-cloud-netflix-hystrix-contract:jar:2.0.1.BUILD-SNAPSHOT in https://repo.spring.io/libs-snapshot-local was cached in the local repository, resolution will not be reattempted until the update interval of spring-snapshots has elapsed or updates are forced -> [Help 2]
Please run ./scripts/build.sh to fix the issue.
I just have upgrade from vaadin 7.7.7 to vaadin 8.3.0. I have added compatibility package to server, themes and client. I can run "clean install" or "vaadin:update-widgetset install", but when I try to compile in eclipse, with vaadin widgetset I have lot of errors. There are a lot, I have snipped only a few part of the big FAIL.
[INFO] Tracing compile failure path for type 'javaemul.internal.HashCodes'
[INFO] [ERROR] Errors in 'jar:file:/C:/Users/cristian.lopez/.m2/repository/com/google/gwt/gwt-user/2.8.2/gwt-user-2.8.2.jar!/com/google/gwt/emul/javaemul/internal/HashCodes.java'
[INFO] [ERROR] Line 25: The method getStringHashCode(String) in the type HashCodes is not applicable for the arguments (Object)
[INFO] [ERROR] Line 29: The method hashCode() in the type Boolean is not applicable for the arguments (boolean)
[INFO] Tracing compile failure path for type 'com.vaadin.client.communication.AtmospherePushConnection'
[INFO] [ERROR] Errors in 'jar:file:/C:/Users/cristian.lopez/.m2/repository/com/vaadin/vaadin-client/8.3.1/vaadin-client-8.3.1.jar!/com/vaadin/client/communication/AtmospherePushConnection.java'
[INFO] [ERROR] Line 159: Lambda expressions are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 153: Lambda expressions are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 183: Lambda expressions are allowed only at source level 1.8 or above
[INFO] Tracing compile failure path for type 'com.vaadin.client.componentlocator.VaadinFinderLocatorStrategy'
[INFO] [ERROR] Errors in 'jar:file:/C:/Users/cristian.lopez/.m2/repository/com/vaadin/vaadin-client/8.3.1/vaadin-client-8.3.1.jar!/com/vaadin/client/componentlocator/VaadinFinderLocatorStrategy.java'
[INFO] [ERROR] Line 679: Method references are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 674: Method references are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 672: The method stream(Integer[]) is undefined for the type Arrays
[INFO] [ERROR] Line 676: The method stream(Integer[]) is undefined for the type Arrays
[INFO] Tracing compile failure path for type 'com.vaadin.client.ui.VMenuBar'
[INFO] [ERROR] Errors in 'jar:file:/C:/Users/cristian.lopez/.m2/repository/com/vaadin/vaadin-client/8.3.1/vaadin-client-8.3.1.jar!/com/vaadin/client/ui/VMenuBar.java'
[INFO] [ERROR] Line 112: Lambda expressions are allowed only at source level 1.8 or above
[INFO] Tracing compile failure path for type 'com.vaadin.client.widgets.Grid'
[INFO] [ERROR] Errors in 'jar:file:/C:/Users/cristian.lopez/.m2/repository/com/vaadin/vaadin-client/8.3.1/vaadin-client-8.3.1.jar!/com/vaadin/client/widgets/Grid.java'
[INFO] [ERROR] Line 2954: Method references are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 6253: Lambda expressions are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 3887: Lambda expressions are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 6571: The method addColumnsSkipSelectionColumnCheck(Collection<Grid.Column<?,T>>, int) in the type Grid<T> is not applicable for the arguments (Set<C>, int)
[INFO] [ERROR] Line 6594: The method forEach((<no type> col) -> {}) is undefined for the type Collection<Grid.Column<?,T>>
[INFO] [ERROR] Line 1033: Lambda expressions are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 8021: The method addColumnsSkipSelectionColumnCheck(Collection<Grid.Column<?,T>>, int) in the type Grid<T> is not applicable for the arguments (Set<Grid<T>.SelectionColumn>, int)
[INFO] [ERROR] Line 3897: Lambda expressions are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 6251: Lambda expressions are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 2957: Method references are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 4026: Lambda expressions are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 2339: The method getCell(Element) in the type RowContainer is not applicable for the arguments (JavaScriptObject)
[INFO] [ERROR] Line 5988: Lambda expressions are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 1459: Lambda expressions are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 3811: Lambda expressions are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 4074: Lambda expressions are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 3356: Method references are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 6508: Lambda expressions are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 8682: Method references are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 1466: Lambda expressions are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 2946: Lambda expressions are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 1822: Lambda expressions are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 1061: The type Grid<T>.EditorRequestImpl<T> must implement the inherited abstract method EditorHandler.EditorRequest<T>.failure(String, Collection<Grid.Column<?,T>>)
[INFO] [ERROR] Line 6594: Lambda expressions are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 949: Lambda expressions are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 4558: Lambda expressions are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 8681: Lambda expressions are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 6277: Lambda expressions are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 6247: The method setSelectionModel(SelectionModel<T>) in the type Grid<T> is not applicable for the arguments (SelectionModel.NoSelectionModel<Object>)
[INFO] [ERROR] Line 6591: Lambda expressions are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 3338: Lambda expressions are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 1891: Lambda expressions are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 8681: The method stream() is undefined for the type List<Grid.Column<?,T>>
[INFO] [ERROR] Line 8977: Lambda expressions are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 6579: Lambda expressions are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 6591: The method stream() is undefined for the type Collection<Grid.Column<?,T>>
[INFO] [ERROR] Line 1608: Lambda expressions are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 592: Type mismatch: cannot convert from ArrayList<Grid.Column<?,capture#36-of ?>> to List<Grid.Column<?,?>>
[INFO] [ERROR] Line 6579: The method forEach((<no type> col) -> {}) is undefined for the type Collection<Grid.Column<?,T>>
[INFO] [ERROR] Line 8273: Lambda expressions are allowed only at source level 1.8 or above
[INFO] Tracing compile failure path for type 'java.util.function.IntConsumer'
[INFO] [ERROR] Errors in 'jar:file:/C:/Users/cristian.lopez/.m2/repository/com/google/gwt/gwt-user/2.8.2/gwt-user-2.8.2.jar!/com/google/gwt/emul/java/util/function/IntConsumer.java'
[INFO] [ERROR] Line 29: Default methods are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 33: Cannot refer to the non-final local variable after defined in an enclosing scope
[INFO] [ERROR] Line 31: Lambda expressions are allowed only at source level 1.8 or above
[INFO] Tracing compile failure path for type 'com.vaadin.client.ui.tabsheet.TabsheetConnector'
[INFO] [ERROR] Errors in 'jar:file:/C:/Users/cristian.lopez/.m2/repository/com/vaadin/vaadin-client/8.3.1/vaadin-client-8.3.1.jar!/com/vaadin/client/ui/tabsheet/TabsheetConnector.java'
[INFO] [ERROR] Line 37: Lambda expressions are allowed only at source level 1.8 or above
[INFO] Tracing compile failure path for type 'com.vaadin.client.ui.textfield.ValueChangeHandler'
[INFO] [ERROR] Errors in 'jar:file:/C:/Users/cristian.lopez/.m2/repository/com/vaadin/vaadin-client/8.3.1/vaadin-client-8.3.1.jar!/com/vaadin/client/ui/textfield/ValueChangeHandler.java'
[INFO] [ERROR] Line 48: Lambda expressions are allowed only at source level 1.8 or above
[INFO] Tracing compile failure path for type 'com.vaadin.shared.ui.dnd.criteria.Criterion'
[INFO] [ERROR] Errors in 'jar:file:/C:/Users/cristian.lopez/.m2/repository/com/vaadin/vaadin-shared/8.3.1/vaadin-shared-8.3.1.jar!/com/vaadin/shared/ui/dnd/criteria/Criterion.java'
[INFO] [ERROR] Line 180: Method references are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 175: The method stream() is undefined for the type Collection<Payload>
[INFO] [ERROR] Line 180: Type mismatch: cannot convert from Object to boolean
[INFO] [ERROR] Line 176: Lambda expressions are allowed only at source level 1.8 or above
[INFO] Tracing compile failure path for type 'java.util.Optional'
[INFO] [ERROR] Errors in 'jar:file:/C:/Users/cristian.lopez/.m2/repository/com/google/gwt/gwt-user/2.8.2/gwt-user-2.8.2.jar!/com/google/gwt/emul/java/util/Optional.java'
[INFO] [ERROR] Line 45: Type mismatch: cannot convert from Optional<capture#2-of ? extends Object> to Optional<T>
[INFO] [ERROR] Line 82: Type mismatch: cannot convert from Optional<capture#9-of ? extends U> to Optional<U>
[INFO] [ERROR] Errors in 'jar:file:/C:/Users/cristian.lopez/.m2/repository/com/google/gwt/gwt-user/2.8.2/gwt-user-2.8.2.jar!/com/google/gwt/emul/java/util/function/Consumer.java'
[INFO] [ERROR] Line 33: Lambda expressions are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 31: Default methods are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 35: Cannot refer to the non-final local variable after defined in an enclosing scope
[INFO] [ERROR] Errors in 'jar:file:/C:/Users/cristian.lopez/.m2/repository/com/google/gwt/gwt-user/2.8.2/gwt-user-2.8.2.jar!/com/google/gwt/emul/java/util/function/Predicate.java'
[INFO] [ERROR] Line 46: Default methods are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 32: The target type of this expression must be a functional interface
[INFO] [ERROR] Line 31: Static methods are allowed in interfaces only at source level 1.8 or above
[INFO] [ERROR] Line 48: Cannot refer to the non-final local variable other defined in an enclosing scope
[INFO] [ERROR] Line 43: Cannot refer to the non-final local variable other defined in an enclosing scope
[INFO] [ERROR] Line 31: Illegal modifier for the interface method isEqual; only public & abstract are permitted
[INFO] [ERROR] Line 41: Default methods are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 37: Default methods are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 48: Lambda expressions are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 32: Method references are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 38: Lambda expressions are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 43: Lambda expressions are allowed only at source level 1.8 or above
[INFO] [ERROR] Errors in 'jar:file:/C:/Users/cristian.lopez/.m2/repository/com/google/gwt/gwt-user/2.8.2/gwt-user-2.8.2.jar!/com/google/gwt/emul/java/util/function/Function.java'
[INFO] [ERROR] Line 38: Cannot refer to the non-final local variable after defined in an enclosing scope
[INFO] [ERROR] Line 30: Static methods are allowed in interfaces only at source level 1.8 or above
[INFO] [ERROR] Line 41: Default methods are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 31: Lambda expressions are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 36: Default methods are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 43: Cannot refer to the non-final local variable before defined in an enclosing scope
[INFO] [ERROR] Line 30: Illegal modifier for the interface method identity; only public & abstract are permitted
[INFO] [ERROR] Line 38: Lambda expressions are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 43: Lambda expressions are allowed only at source level 1.8 or above
[INFO] Tracing compile failure path for type 'java.io.ByteArrayOutputStream'
[INFO] [ERROR] Errors in 'jar:file:/C:/Users/cristian.lopez/.m2/repository/com/google/gwt/gwt-user/2.8.2/gwt-user-2.8.2.jar!/com/google/gwt/emul/java/io/ByteArrayOutputStream.java'
[INFO] [ERROR] Line 196: The method write(byte[], int, int) of type ByteArrayOutputStream must override or implement a supertype method
[INFO] [ERROR] Line 214: The method write(int) of type ByteArrayOutputStream must override or implement a supertype method
[INFO] [ERROR] Line 82: The method close() is undefined for the type OutputStream
[INFO] [ERROR] Line 76: The method close() of type ByteArrayOutputStream must override or implement a supertype method
[INFO] [ERROR] Line 231: The method write(byte[], int, int) is undefined for the type OutputStream
[INFO] Tracing compile failure path for type 'com.vaadin.client.extensions.javascriptmanager.JavaScriptManagerConnector'
[INFO] [ERROR] Errors in 'jar:file:/C:/Users/cristian.lopez/.m2/repository/com/vaadin/vaadin-client/8.3.1/vaadin-client-8.3.1.jar!/com/vaadin/client/extensions/javascriptmanager/JavaScriptManagerConnector.java'
[INFO] [ERROR] Line 41: Lambda expressions are allowed only at source level 1.8 or above
[INFO] Finding entry point classes
[INFO] Tracing compile failure path for type 'com.vaadin.client.ApplicationConfiguration'
[INFO] [ERROR] Errors in 'jar:file:/C:/Users/cristian.lopez/.m2/repository/com/vaadin/vaadin-client/8.3.1/vaadin-client-8.3.1.jar!/com/vaadin/client/ApplicationConfiguration.java'
[INFO] [ERROR] Line 466: Lambda expressions are allowed only at source level 1.8 or above
[INFO] [ERROR] Line 710: Lambda expressions are allowed only at source level 1.8 or above
[INFO] [ERROR] Hint: Check the inheritance chain from your module; it may not be inheriting a required module or a module may not be adding its source path entries properly
[INFO] ------------------------------------------------------------------------
[INFO] BUILD FAILURE
[INFO] ------------------------------------------------------------------------
[INFO] Total time: 21.517 s
[INFO] Finished at: 2018-02-14T16:47:06+01:00
[INFO] Final Memory: 18M/434M
[INFO] ------------------------------------------------------------------------
[ERROR] Failed to execute goal com.vaadin:vaadin-maven-plugin:8.3.1:compile (default-cli) on project selektron_Rotapick_TestDB: Command [[
[ERROR] C:\Program Files\Java\jdk1.8.0_111\jre\bin\java -Xmx1G -Dgwt.persistentunitcache=false com.google.gwt.dev.Compiler -logLevel INFO -style OBF -war C:\Users\cristian.lopez\workspace\vaadin_Rotapick_8_Compatibility\target\classes\VAADIN\widgetsets -localWorkers 4 -failOnError -XfragmentCount -1 -sourceLevel auto -gen C:\Users\cristian.lopez\workspace\vaadin_Rotapick_8_Compatibility\target\.generated de.psb_gmbh.visualtools.Visualtools
[ERROR] ]] failed with status 1
[ERROR] -> [Help 1]
[ERROR]
[ERROR] To see the full stack trace of the errors, re-run Maven with the -e switch.
[ERROR] Re-run Maven using the -X switch to enable full debug logging.
[ERROR]
[ERROR] For more information about the errors and possible solutions, please read the following articles:
[ERROR] [Help 1] http://cwiki.apache.org/confluence/display/MAVEN/MojoExecutionException
I have added to my UI class this #Widgetset("com.vaadin.v7.Vaadin7WidgetSet")
In my pom this is what I have.
<packaging>war</packaging>
<version>1.00.02</version>
<name>selektron</name>
<prerequisites>
<maven>3</maven>
</prerequisites>
<properties>
<vaadin.version>8.3.1</vaadin.version>
<vaadin.plugin.version>8.3.1</vaadin.plugin.version>
<jetty.plugin.version>9.3.9.v20160517</jetty.plugin.version>
<project.build.sourceEncoding>ISO-8859-1</project.build.sourceEncoding>
<maven.compiler.source>1.8</maven.compiler.source>
<maven.compiler.target>1.8</maven.compiler.target>
<vaadin.widgetset.mode>local</vaadin.widgetset.mode>
</properties>
As you can see, in every error or almost every one of them, it says "Lambda expressions are allowed only at source level 1.8 or above".
I hope some one have an Idea
Thanks guys for your quick answer.
I've found that there is a problem with vaadin 8 and gwt under 2.8 for lambda's expressions so I needed to upgrade my gwt dependencies from 2.7.0 to 2.8.0 (enter link description here)
After upgrading Chisel 3 to git master (a6798ad) I'm getting errors about RegInit being imported by both chisel3.util._ and chisel3._. For instance, this happens when compiling chisel-testers (9389343):
chisel-testers/src/main/scala/chisel3/iotesters/SteppedHWIOTester.scala:177: reference to RegInit is ambiguous;
[error] it is imported twice in the same scope by
[error] import chisel3.util._
[error] and import chisel3._
[error] val done = RegInit(false.B)
[error] ^
How should this be fixed?
Judging by its log, this commit of chisel-testers was tested against a recent commit of Chisel 3, so I don't even understand how this issue only seems to manifest for me.
failed: javax.persistence.PersistenceException: The default EbeanServer has not been defined? This is normally set via the ebean.datasource.default property. Otherwise it should be registered programatically via registerServer(), took 0.393 sec
[error] at com.avaje.ebean.Ebean$ServerManager.getPrimaryServer(Ebean.java:178)
[error] at com.avaje.ebean.Ebean$ServerManager.access$300(Ebean.java:128)
[error] at com.avaje.ebean.Ebean.createSqlQuery(Ebean.java:785)
[error] at models.Amplify.getHierarchy(Amplify.java:42)
[error] at services.AmplifyNodeServiceImplTest.shouldGetAmplifyNodeInfo(AmplifyNodeServiceImplTest.java:43)
when running the JUnit test cases,i am facing the above exception.
You have to extend the play.test.WithServer class. For example:
public class SomeModelTest extends WithServer {
// Your testing code that uses Ebean
}
The WithServer class ensures the server is properly initialized (databases, EbeanServer, etc.)